vec_index

Find first set bit starting from b in vector v. If no bit is found, return vec_numbits(v).

extern (C) nothrow @nogc @safe @trusted pure
size_t
vec_index
(
size_t b
,
const vec_t vec
)
Suggestion Box / Bug Report